当前位置: 首页 > news >正文

连云港企业建站 网站国内永久免费crm游戏

连云港企业建站 网站,国内永久免费crm游戏,郑州网站优化工资,中国搜索引擎市场份额比较不同计数器的运算方式#xff0c;其中有一个数是延迟打一拍的效果#xff0c;目的是使得两个计数器的结果相同。 1#xff0c;第一种2#xff0c;第二种3#xff0c;第三种 第三种方案#xff0c;完成实现。 1#xff0c;第一种 #xff08;1#xff09;RTL modu… 比较不同计数器的运算方式其中有一个数是延迟打一拍的效果目的是使得两个计数器的结果相同。 1第一种2第二种3第三种 第三种方案完成实现。 1第一种 1RTL module c( input clk, input rst_n, // input a, // input b, output cnt1, output cnt2 );reg [4:0] cnt1, cnt2; always(posedge clk or negedge rst_n) beginif(!rst_n) begincnt1 0;cnt2 0;endelse if(cnt1 16) begincnt1 cnt1 1;cnt2 cnt1; endelse begincnt1 0;cnt2 0;end endendmodule 2TB module tb_c; reg clk; reg rst_n; wire [4:0] cnt1; wire [4:0] cnt2;always #10 clk ~clk;initial beginclk 1; rst_n 1;#20; rst_n 0;#40; rst_n 1; end c uu( .clk(clk), .rst_n(rst_n), .cnt1(cnt1), .cnt2(cnt2) );endmodule 3仿真 2第二种 1RTL module c( input clk, input rst_n, // input a, // input b, output cnt1, output cnt2 );reg [4:0] cnt1, cnt2; always(posedge clk or negedge rst_n) beginif(!rst_n) begincnt1 0;cnt2 0;endelse if(cnt2 16) begincnt1 cnt1 1;cnt2 cnt1; endelse begincnt1 0;cnt2 0;end endendmodule 2TB module tb_c; reg clk; reg rst_n; wire [4:0] cnt1; wire [4:0] cnt2;always #10 clk ~clk;initial beginclk 1; rst_n 1;#20; rst_n 0;#40; rst_n 1; end c uu( .clk(clk), .rst_n(rst_n), .cnt1(cnt1), .cnt2(cnt2) );endmodule 3仿真 3第三种 1RTL module c( input clk, input rst_n, // input a, // input b, output cnt1, output cnt2 );reg [4:0] cnt1, cnt2; // 1 always(posedge clk or negedge rst_n) beginif(!rst_n) begincnt1 0;endelse if(cnt1 16) begincnt1 cnt1 1; // 1endelse begincnt1 0;end end// 2 always(posedge clk or negedge rst_n) beginif(!rst_n) begincnt2 0;endelse if(cnt2 16) begincnt2 cnt1; // 0endelse begincnt2 0;end endendmodule 2TB module tb_c; reg clk; reg rst_n; wire [4:0] cnt1; wire [4:0] cnt2;always #10 clk ~clk;initial beginclk 1; rst_n 1;#20; rst_n 0;#40; rst_n 1; end c uu( .clk(clk), .rst_n(rst_n), .cnt1(cnt1), .cnt2(cnt2) );endmodule 3仿真
http://www.pierceye.com/news/300770/

相关文章:

  • 网页设计需要学什么学历南宁seo服务公司
  • 网站开发学习未来做那个网站能致富
  • 建设厅科技中心网站免费网站怎么做出来的
  • 做一网站东莞网站建设
  • 电商网站建设需求网站开发培训排名
  • 厦门建设局网站城市建设郑州百度分公司
  • 长春火车站在哪广州冼村小学
  • 网站开发上海工资dedecms模板自适应
  • 湖北建设厅行政服务中心网站网络系统管理员工作内容
  • php 创建网站开发织梦网站后台打不开
  • 网站建设的企业html 网站
  • 山东省建设安全生产协会网站零基础学网站建设 知乎
  • 门户网站做等保需要备案哪些石家庄以岭药业股份有限公司招聘
  • 工信部网站备案方法红豆网桂林论坛
  • 开发网站年度工作总结及明年工作计划免费sem工具
  • 教育网站开发报告郑州哪家医院看男科比较专业
  • 企业门户网站系统wordpress 商业
  • 信金在线制作网站宁波网站建设有限公司
  • 乌海品牌网站建设如何做请求队列防止网站高并发
  • 企业网站开发平台做的网站上传到服务器
  • 企业网站开发开题报告青岛app软件开发
  • 静态网站 搜索合肥做双语外贸网站
  • 网站建设需求计划书手机应用市场
  • 乐清网站自助网站系统
  • 北京4网站建设WordPress不会php
  • wordpress百度搜索对接免费seo提交工具
  • 网站结构优化包括哪些WordPress多城市
  • 北京三屏网站制作wordpress 分词插件
  • 潍坊哪里可以做网站建设网站一定需要云服务器么
  • 高新网站建设多少钱重庆模板网站建设费用