当前位置: 首页 > news >正文

招商门户网站建设方案备用网站域名

招商门户网站建设方案,备用网站域名,最近的新闻内容,珠海网站建设网络公司怎么样前些天发现了一个巨牛的人工智能学习电子书#xff0c;通俗易懂#xff0c;风趣幽默#xff0c;无广告#xff0c;忍不住分享一下给大家。#xff08;点击跳转人工智能学习资料#xff09; 一、实验目的 掌握一般性计数器的VHDL设计方法#xff0c;熟悉程序文本和原理图…前些天发现了一个巨牛的人工智能学习电子书通俗易懂风趣幽默无广告忍不住分享一下给大家。点击跳转人工智能学习资料 一、实验目的 掌握一般性计数器的VHDL设计方法熟悉程序文本和原理图结合方法设计电路。掌握CASE语句的基本使用方法。 二、实验内容 首先用VHDL语言设计10进制计数器要求电路具有复位端和使能端仿真验证其正确性并将其封装成一个元件用两个10进制计数器扩展成一个100进制计数器注意两个10进制计数器间管脚的连接方式画出其原理图并用QUARTUSⅡ软件仿真验证仿真验证所设计电路的功能;首先用CASE语句设计7段显示译码器电路仿真验证其正确性并将其封装成一个元件用7段显示译码器将100进制计数器的两组4位二进制输出转换为10进制显示画出其原理图并用QUARTUSⅡ软件仿真验证. 三、实验设计 1.首先用VHDL语言设计一个10进制计数器该计数器具有复位端和使能端因此需要使用条件语句实现其功能并且应该是四位的输入输出。VHDL代码如下 Library ieee; Use ieee.Std_Logic_1164.All; Use ieee.Std_Logic_Unsigned.All; Entity count10 isport(clk,rst,en,load: in Std_Logic;data: in Std_Logic_Vector (3 downto 0);dout: out Std_Logic_Vector (3 downto 0);cout: out Std_Logic); End Entity count10; Architecture bhv of count10 is beginprocess (clk,rst,en,load)variable q: Std_Logic_Vector (3 downto 0);beginif rst0 then q:(others0);elsif clk event and clk1 thenif en1 thenif (load0) then q:data; elseif q9 then q:q1;else q:(others0);end if;end if;end if;end if;if q1001 then cout1;else cout0;end if;doutq;End process; End Architecture bhv;验证其正确性仿真波形图如下 2. 用两个10进制计数器扩展成一个100进制计数器注意其引脚的连接方式。 仿真验证其正确性波形图如下 3. 用CASE语句设计7段显示译码器电路根据不同数字对应显示不同数码管的情况设计正确的对应关系。VHDL代码如下 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL ; use Ieee.std_logic_unsigned.all; entity led7 isport (indata: in std_logic_vector(3 downto 0);odata: out std_logic_vector(6 downto 0)); end entity led7; architecture bhv of led7 isbeginprocess (indata)begincase (indata) iswhen 0000 odata 0111111 ;when 0001 odata 0001110 ;when 0010 odata 1011011 ;when 0011 odata 1001111 ;when 0100 odata 1100110 ;when 0101 odata 1101101 ;when 0110 odata 1111101 ;when 0111 odata 0000111 ;when 1000 odata 1111111 ;when 1001 odata 1101111 ;when others null;end case;end process; end architecture bhv;将其封装成一个元件。在VHDL描述页面选file→create/update→create symbol files for current file把编写的代码封装成一个元件。 用7段显示译码器将100进制计数器的两组4位二进制输出转换为10进制显示。原理图如下 验证其正确性仿真波形如下
http://www.pierceye.com/news/536868/

相关文章:

  • 成品网站nike源码免费现在装宽带要多少钱
  • 綦江建设银行网站外贸精品网站建设
  • 互动性的网站做第一个php网站
  • 移动网站开发视频怎样嵌入遵义市公共资源交易平台
  • 教做美食的视频网站青岛手机网站建设报价
  • 校园网站建设网成功做网站
  • 网站策划方案如何做网页设计师职业认知
  • 助孕网站优化推广项目名称有创意大全
  • 百度制作网站福州做商城网站公司
  • 周口师范做网站做类似昵图网网站
  • 岳阳企业网站建设网站服务器暂时不可用怎么办
  • 网站的站点的管理系统网站建设组织架构
  • 怎么制作网站视频教程wordpress 导入图片
  • 淘宝网发布网站建设wordpress不能翻页
  • 怎么样可以做网站wordpress gallery widget
  • 湖北网站推广公司技巧自己做app的软件
  • 网站梦打开又提示无法访问dw网页设计代码茶文化
  • 阳江营销型网站建设wordpress防攻击插件
  • 深圳电信网络建站东莞房价2022最新价格
  • 昆山营销型网站建设温州网上商城网站建设
  • 网站html动态效果asp化妆品网站源码
  • 丹东网站seo国家企业工商网查询
  • 好看云在线网站模板江西省建设厅教育网站
  • 小网站百度做一个网站怎么做呢
  • 城市分站seoseo相关岗位
  • 购物网站开发语言wordpress分类指定页面
  • 中企动力做网站的优势做招聘网站经营范围
  • 重庆企业网站排名优化方法百度录入网站
  • 做薪酬调查有哪些网站校园二手交易网站设计的原则
  • 建设电子商务网站的方法有?网站架构图