当前位置: 首页 > news >正文

网站建设开发软件教程优化设计五年级下册数学答案

网站建设开发软件教程,优化设计五年级下册数学答案,济南模板建站软件,建设网站八大员成绩查询1 原理讲解 距离上一次说PID算法的事情过去蛮久了#xff0c;今天又重新看了看PID的代码#xff0c;其实还是存在一些不合理的地方。 整理归纳了一下原理#xff0c;位置式和增量式的变化。 2 工程实现 timescale 1ns / 1psmodule pid_controller(input clk,input r…1 原理讲解 距离上一次说PID算法的事情过去蛮久了今天又重新看了看PID的代码其实还是存在一些不合理的地方。 整理归纳了一下原理位置式和增量式的变化。 2 工程实现 timescale 1ns / 1psmodule pid_controller(input clk,input rst_n,input [15:0] setpoint,input [15:0] feedback,input [15:0] Kp,input [15:0] Ki,input [15:0] Kd,input [15:0] clk_prescaler,output reg [15:0] control_signal );// Internal signalsreg [15:0] prev_error 16h0000;reg [15:0] integral 32h00000000;reg [15:0] derivative 16h0000;// Clock divider for sampling ratereg [15:0] clk_divider 0;reg sampling_flag 0;always (posedge clk or negedge rst_n) begin//$display(Clock trigered);if (~rst_n)clk_divider 16h0000;else if (clk_divider clk_prescaler) begin // clk_prescaler determines the sampling rate, thus sampling rate would be clk freq/clk_prescalerclk_divider 16h0000;sampling_flag 1;end else beginclk_divider clk_divider 1;sampling_flag 0;endendalways (posedge clk or negedge rst_n) beginif (~rst_n) begin// Reset logic generally specific to applicationend else if (sampling_flag) begin// PID Calculationintegral integral (Ki * (setpoint - feedback));$display(Integral is %d,integral);derivative Kd * ((setpoint - feedback) - prev_error);// Calculate control signalcontrol_signal (Kp * (setpoint - feedback)) integral derivative; prev_error (setpoint - feedback);// Update previous error term to feed it for derrivative term.endendendmoduletestbench timescale 1ns / 1psmodule pid_tb( );reg clk 0;reg rst_n 0;reg [15:0] setpoint 0;reg [15:0] feedback 0;reg [15:0] Kp 0;reg [15:0] Ki 0;reg [15:0] Kd 0;reg [15:0] clk_prescaler 0;wire [15:0] control_signal;pid_controller DUT(.clk(clk),.rst_n(rst_n),.setpoint(setpoint),.feedback(feedback),.Kp(Kp),.Ki(Ki),.Kd(Kd),.clk_prescaler(clk_prescaler),.control_signal(control_signal));initial beginrst_n 0; // Assert resetclk_prescaler 5; setpoint 20;Kp 5;Ki 2;Kd 1;#20 rst_n 1; // Deassert resetendalways #1 clk ~clk;always begin$monitor(Control signal is %d,control_signal);#20 feedback 1;#15 feedback 5;#15 feedback 8;#15 feedback 10; #15 feedback 13; #15 feedback 15; #15 feedback 16; #15 feedback 25; #25 $finish;endendmodule
http://www.pierceye.com/news/728732/

相关文章:

  • 在百度上做个网站需要多少钱创易网络
  • 网站建设神器帮人做网站犯法
  • 企业网站的特点是小程序开发文档微信小程序
  • 哈尔滨 建网站mvc做的网站如何发布访问
  • 江苏盐城网站开发百度快照首页
  • 中职网站建设课件青岛网站制作
  • 效果最好h5制作软件seo整站优化技术培训
  • 中国建设银行积分换购网站网站开发培训哪个好
  • 张家港网站建设培训wordpress电子报
  • 用dw制作学校网站教程网站优化排名方案
  • 手机图片网站模板工商营业执照网上申报
  • 网站建立的方式是什么网络推广培训哪里的
  • vue做网站首页做网站 上海
  • 建设一个商务网站的步骤做网站购买空间多少钱
  • 哈尔滨网站制作注册公司有什么风险
  • 自己做网站不如帮别人做运营网站开发计划怎么写
  • 飘雪影视在线观看免费完整台州网站排名优化价格
  • 网站制作要钱吗seo的培训网站哪里好
  • 图片类网站如何做优化装潢设计培训中心
  • 哪里做网站做得好宿迁网站建设sq918
  • 中企动力 网站建设那些网站是做俄罗斯鞋子
  • jsp怎么做购物网站建设营销型网站多少钱
  • 东莞网站设免费的网站程序哪里好
  • 网站主页用ps做免费网站建站有哪些
  • 锦州网站建设公司湘潭市高新建设局施工报建网站
  • 前端网站开发江阴外贸网站建设
  • 手机网站建设的整体流程seo是什么职位的简称
  • 川畅咨询 做网站多少钱注册企业邮箱要钱吗
  • 网站制作成本包含游戏咨询网站建设目标是什么
  • 江门seo网站推广做网站营销怎么去推广