当前位置: 首页 > news >正文

南宁机关两学一做网站wordpress如何做一个导航页面

南宁机关两学一做网站,wordpress如何做一个导航页面,xiu主题做的网站,网站怎么做网站地图比较不同计数器的运算方式#xff0c;其中有一个数是延迟打一拍的效果#xff0c;目的是使得两个计数器的结果相同。 1#xff0c;第一种2#xff0c;第二种3#xff0c;第三种 第三种方案#xff0c;完成实现。 1#xff0c;第一种 #xff08;1#xff09;RTL modu… 比较不同计数器的运算方式其中有一个数是延迟打一拍的效果目的是使得两个计数器的结果相同。 1第一种2第二种3第三种 第三种方案完成实现。 1第一种 1RTL module c( input clk, input rst_n, // input a, // input b, output cnt1, output cnt2 );reg [4:0] cnt1, cnt2; always(posedge clk or negedge rst_n) beginif(!rst_n) begincnt1 0;cnt2 0;endelse if(cnt1 16) begincnt1 cnt1 1;cnt2 cnt1; endelse begincnt1 0;cnt2 0;end endendmodule 2TB module tb_c; reg clk; reg rst_n; wire [4:0] cnt1; wire [4:0] cnt2;always #10 clk ~clk;initial beginclk 1; rst_n 1;#20; rst_n 0;#40; rst_n 1; end c uu( .clk(clk), .rst_n(rst_n), .cnt1(cnt1), .cnt2(cnt2) );endmodule 3仿真 2第二种 1RTL module c( input clk, input rst_n, // input a, // input b, output cnt1, output cnt2 );reg [4:0] cnt1, cnt2; always(posedge clk or negedge rst_n) beginif(!rst_n) begincnt1 0;cnt2 0;endelse if(cnt2 16) begincnt1 cnt1 1;cnt2 cnt1; endelse begincnt1 0;cnt2 0;end endendmodule 2TB module tb_c; reg clk; reg rst_n; wire [4:0] cnt1; wire [4:0] cnt2;always #10 clk ~clk;initial beginclk 1; rst_n 1;#20; rst_n 0;#40; rst_n 1; end c uu( .clk(clk), .rst_n(rst_n), .cnt1(cnt1), .cnt2(cnt2) );endmodule 3仿真 3第三种 1RTL module c( input clk, input rst_n, // input a, // input b, output cnt1, output cnt2 );reg [4:0] cnt1, cnt2; // 1 always(posedge clk or negedge rst_n) beginif(!rst_n) begincnt1 0;endelse if(cnt1 16) begincnt1 cnt1 1; // 1endelse begincnt1 0;end end// 2 always(posedge clk or negedge rst_n) beginif(!rst_n) begincnt2 0;endelse if(cnt2 16) begincnt2 cnt1; // 0endelse begincnt2 0;end endendmodule 2TB module tb_c; reg clk; reg rst_n; wire [4:0] cnt1; wire [4:0] cnt2;always #10 clk ~clk;initial beginclk 1; rst_n 1;#20; rst_n 0;#40; rst_n 1; end c uu( .clk(clk), .rst_n(rst_n), .cnt1(cnt1), .cnt2(cnt2) );endmodule 3仿真
http://www.pierceye.com/news/152029/

相关文章:

  • 百度 网站 质量度扬州市工程建设招标网
  • 营销网站建设流程图优化营商环境发言稿
  • 设计网站公司有哪些怎么做网店
  • 高端的网站设计费用外贸营销型网站建设平台
  • 买源码做网站简单嘛贵阳百度seo点击软件
  • 省建设注册管理网站网站的维护和推广
  • wordpress 插件站wordpress本地mp3
  • 爱站工具包的主要功能很有设计感的企业网站
  • 地下城钓鱼网站如何做iis添加网站无法访问
  • 招聘网站大全网站开发liucheng
  • erlang做网站优势深圳集团网站建设公司好
  • 烟台公司建网站seo网站推广 沈阳
  • 没有网站做APP企业网站模板建站
  • 国内炫酷的网站首页网站开发交易平台
  • 大型网站建设公司制作网站好大夫在线免费咨询
  • 有哪些设计的很优秀的网站诸暨市住房建设局网站
  • 建设网站网站建站延吉手机网站建设开发
  • 网站建设一意见08wordpress主题
  • 做网站备案不少天网络设计包括哪些
  • 仿腾讯视频网站源码个人制作网站工具
  • 泉州网站制作建设163注册企业邮箱
  • 漳州市建设局网站6热e国产-网站正在建设中-手机版
  • 给公司做网站数据分析江门网站设计制作
  • 怎么做新网站安宁网站建设熊掌号
  • com是什么网站网络科技公司可以去吗
  • 抖音创作者服务平台上海seo培训中心
  • 自己做网站app甘肃网站域名申请公司
  • 电子商务网站开发的课程介绍2023年新闻摘抄
  • 合肥如何做百度的网站推广百度知道官网首页登录入口
  • 织梦网站怎么做二级域名广州做网站费用