当前位置: 首页 > news >正文

南山网站多少钱企业免费招聘人才网站

南山网站多少钱,企业免费招聘人才网站,如何做网站模特,wordpress主题免费中文版使用FPGA进行图像处理时#xff0c;通常需要将TXT文件中的图像数据读出到TestBench中#xff0c;并将仿真的结果写入到TXT文件中#xff0c;用于确认图像处理的结果是否正确。 VHDL中TXT文件的读写操作如下所示#xff0c; --------------------------------------------…使用FPGA进行图像处理时通常需要将TXT文件中的图像数据读出到TestBench中并将仿真的结果写入到TXT文件中用于确认图像处理的结果是否正确。 VHDL中TXT文件的读写操作如下所示 ---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 2025/04/18 21:34:38 -- Design Name: -- Module Name: tb_txt_rdwr - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ----------------------------------------------------------------------------------library IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_textio.all; use std.textio.all;-- testio程序包是std库的一部分-- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL;-- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all;entity tb_txt_rdwr is -- Port ( ); end tb_txt_rdwr;architecture Behavioral of tb_txt_rdwr isconstant clk_period : time : 10 ns; signal clk : std_logic; signal rst : std_logic;signal wrdata : std_logic_vector(3 downto 0); signal wren : std_logic; signal wrdone : std_logic;signal rddata : std_logic_vector(3 downto 0); signal rden : std_logic; signal rddone : std_logic;begin----------------------------------- --生成时钟复位信号 process beginclk 0; wait for clk_period/2;clk 1; wait for clk_period/2; end process;process beginrst 1;wait for clk_period*200;rst 0;wait ; end process;----------------------------------- --生成要写入TXT文件中的二进制数据 process beginwrdata (others0);wren 0;wrdone 0;wait until falling_edge(rst);wait until rising_edge(clk);wait until rising_edge(clk);wait until rising_edge(clk);for i in 15 downto 0 loop wren 1;wait until rising_edge(clk);wrdata wrdata x1;end loop;wren 0; wait until rising_edge(clk);wait until rising_edge(clk);wait until rising_edge(clk); wrdone 1;wait until rising_edge(clk);wrdone 0;wait ; end process;----------------------------------- --写数据到TXT文件中 process(clk,rst)file v_file : text;variable v_line : line;variable v_data : std_logic_vector(3 downto 0); beginif rst1 thenfile_open(v_file,E:\forsim\txt_from_vhdl_tb.txt,write_mode);elsif rising_edge(clk) thenif wren1 thenv_data : wrdata;write(v_line,v_data);writeline(v_file,v_line);elsif wrdone1 thenfile_close(v_file);end if;end if; end process;----------------------------------- --生成TXT文件的读使能信号和读结束信号 process beginrden 0;rddone 0;wait until falling_edge(wrdone); --检测到TXT文件写操作结束后从TXT文件中读出写入的数据wait until rising_edge(clk);wait until rising_edge(clk);wait until rising_edge(clk);for i in 15 downto 0 loop rden 1;wait until rising_edge(clk);end loop;rden 0;wait until rising_edge(clk);wait until rising_edge(clk);wait until rising_edge(clk);rddone 1;wait until rising_edge(clk);rddone 0;wait ; end process;----------------------------------- --从TXT文件中读出数据并赋值到rdtata process(clk,rst)file v_file : text;variable v_line : line;variable v_data : std_logic_vector(3 downto 0); beginif rst1 thenfile_open(v_file,E:\forsim\txt_from_vhdl_tb.txt,read_mode);rddata (others0);elsif rising_edge(clk) thenif rden1 thenreadline(v_file,v_line);read(v_line,v_data);rddata v_data;elsif rddone1 thenfile_close(v_file);end if;end if; end process;end Behavioral;
http://www.pierceye.com/news/663204/

相关文章:

  • 自己做网站需要购买服务器吗WordPress文章相册修改
  • 校园招聘哪个网站做的好学做川菜网站
  • 大足网站建设公司医院网站建设熊掌号
  • 做网站编辑是不是也要做推广做蛋白go分析网站
  • 免费品牌网站制作云南电商网站建设
  • 宿迁莱布拉网站建设常州做网站建设的公司
  • 广东网站建站系统哪家好常州网站搭建公司
  • 400网站建设推广软件工程师工资
  • 专门做正品的网站手机版深圳市门户网站建设怎么样
  • 做外贸比较好的网站有哪些北京短视频代运营
  • 建站公司学习筑梦网站建设
  • 手工艺品网站建设侧胡顺个人简历表格可填写
  • 电商网站竞价推广策略淘宝做问卷的网站
  • 门窗 东莞网站建设婚庆公司收费标准
  • 网站页面下沉的特效代码网络建设存在的问题
  • 给网站做维护是什么工作网页怎么赚钱
  • 三丰云做游戏网站win主机安装wordpress
  • 网站建设黄荣vuejs做视频网站设计
  • 手机怎样下载安装建设银行网站企业通过网络推广成功的案例
  • 门户网站开发工具软件哪个公司的网络最好用
  • 河南省住房和城乡建设厅查询网站首页舆情网站推荐
  • 网页设计是网站建设与管理的内容吗公司网络营销的方案思路
  • 商业授权网站标题优化技巧
  • 班级网站做哪些方面阿里云市场网站建设
  • 2345网站登录电子工程师有前途吗
  • 网站建设企业邮箱制作网站山东平台网站建设制作
  • 仿新浪微博网站代码国家高新技术企业公示
  • 遵义网站建设公司电话多少成都网站优化seo
  • 宝安网站设计排名网站建设收费标准资讯
  • 景安怎么把网站做别名西安网站优化seo