当前位置: 首页 > news >正文

电影宣传网站开发设计网站建设收费明细

电影宣传网站开发设计,网站建设收费明细,投票网站怎么做,易语言做网站客户端实现手表的计时功能#xff1a; 1.具有start启动信号、pause暂停信号#xff0c;可以自定义其触发机制。 2.具有时间更改接口#xff0c;可以更改时、分、秒。 3.输出时、分、秒。 Verilog设计 模块端口定义#xff1a; module watch1(input wire clk …实现手表的计时功能 1.具有start启动信号、pause暂停信号可以自定义其触发机制。 2.具有时间更改接口可以更改时、分、秒。 3.输出时、分、秒。 Verilog设计 模块端口定义 module watch1(input wire clk ,input wire rst_n ,input wire start , //input wire pause , //input wire h_add , //when it is 1, hour will add 1(when changing the time, the current time do not count)input wire m_add , //when it is 1, minute will add 1(when changing the time, the current time do not count)input wire s_add , //when it is 1, second will add 1(when changing the time, the current time do not count)output reg [4:0] hour ,output reg [5:0] minute ,output reg [5:0] second // second1 per period ); 手表计时使能 always(posedge clk or negedge rst_n)if(!rst_n) running 1b0;else if(pause start) //push the keys in the same time, the watch still runsrunning 1b1;//running;keep the stateelse if(pause) //running 1b0;else if(start) //running 1b1;else ; 或者 always(posedge clk or negedge rst_n)if(!rst_n) running 1b0;else if(start_rise) //push the key, the watch will run(higher priority)running 1b1;else if(pause_rise) //push the key, the watch will stoprunning 1b0;// else if(start_fall) //release the key, the watch will run// running 1b1;else ; 小时: always(posedge clk or negedge rst_n)if(!rst_n) hour b0;else if(h_add) beginif(hour CNT_23)hour b0;elsehour hour 1b1;endelse if(running ~m_add ~s_add ) begin //when changing the time, the current time do not countif(second CNT_59 minute CNT_59) beginif(hour CNT_23)hour b0;elsehour hour 1b1;endelse ;endelse ; 分钟 always(posedge clk or negedge rst_n)if(!rst_n) minute b0;else if(m_add) beginif(minute CNT_59)minute b0;elseminute minute 1b1;endelse if(running ~s_add ~h_add ) begin //when changing the time, the current time do not countif(second CNT_59) beginif(minute CNT_59)minute b0;elseminute minute 1b1;endelse ;endelse ; 秒 always(posedge clk or negedge rst_n)if(!rst_n) second b0;else if(s_add) beginif(second CNT_59)second b0;elsesecond second 1b1;endelse if(running ~m_add ~h_add ) begin //when changing the time, the current time do not countif(second CNT_59)second b0;elsesecond second 1b1; // second1 per periodendelse ; 仿真波形 时钟进位 启动暂停 或者 顶层集成 // module watch_top(input wire clk ,input wire rst_n ,input wire start_key , //按键:开始计时(按下按键时均为0)input wire pause_key , //按键:暂停计时input wire h_key , //按键:时1input wire m_key , //按键:分1input wire s_key , //按键:秒1output wire [4:0] hour , //时output wire [5:0] minute , //分output wire [5:0] second //秒(每时钟周期1) );// parameter // wire wire start; wire pause; wire h_add; wire m_add; wire s_add;// reg // assign // always // instantiation // key_filter u_start_filter(.clk (clk ),.rst_n (rst_n ),.key_in (start_key),.key_flag ( ),.key_out (start),.key_cont () ); key_filter u_pause_filter(.clk (clk ),.rst_n (rst_n ),.key_in (pause_key),.key_flag ( ),.key_out (pause),.key_cont () ); // key_filter u_h_filter(.clk (clk ),.rst_n (rst_n ),.key_in (h_key),.key_flag ( ),.key_out (),.key_cont (h_add) ); key_filter u_m_filter(.clk (clk ),.rst_n (rst_n ),.key_in (m_key),.key_flag ( ),.key_out (),.key_cont (m_add) ); key_filter u_s_filter(.clk (clk ),.rst_n (rst_n ),.key_in (s_key),.key_flag ( ),.key_out (),.key_cont (s_add) ); // watch2 u_watch(.clk (clk ),.rst_n (rst_n ),.start (start ), //.pause (pause ), //.h_add (h_add ), //when it is 1, hour will add 1.m_add (m_add ), //when it is 1, minute will add 1.s_add (s_add ), //when it is 1, second will add 1.hour (hour ),.minute (minute),.second (second) );endmodule
http://www.pierceye.com/news/830257/

相关文章:

  • 如何建立一个网站详细步骤品牌建设可以从哪方面入手
  • 杨浦做网站福州网站公司
  • 厦门网站建设工程汽车网站正在建设中模板
  • 关于网站规划建设方案书怎么制作官网
  • 网站域名注销流程昌邑微信网站建设公司
  • 如何做众筹网站wordpress手机投放广告
  • 贵阳制作网站的公司如何找友情链接
  • 苏州诶茵诶公司网站flash网站怎么制作
  • 域名申请网页制作网站建设珠海免费模板建站
  • 行情网免费网站大全wordpress 头像上传路径
  • 网站开发联系方式做网站如何提需求
  • 小程序定制团队seo顾问推推蛙
  • 徐州网站建设公司哪家好经典广告
  • 百度的官方网站wordpress提示插件安装
  • 网站的按钮怎么做 视频宁波网站建设用什么软件
  • 宜宾市做网站多少钱老板办公室装修效果图
  • 如何将网站挂载域名手机网站布局教程
  • 哪个网站可以做销售记录公司经营范围分类目录
  • dw网站的站点建设怎么百度做网站
  • 在家做网站设计国土局网站建设经验
  • 营销型网站报价商丘做网站推广的公司
  • 宜昌网站开发公司wordpress简洁响应试
  • 彩票型网站建设网站建设用宝塔
  • 建筑企业网站要简约大气吗零基础网站建设教学服务
  • 苏州网站开发建设方案cms系统介绍
  • 网站右边悬浮代码app开发费用报价表
  • 免费做电子相册的网站it网站建设
  • 网站建设是什么?南京文化云网站建设
  • 对比色网站设计wordpress 文件重命名
  • 企业免费网站建设模板怎么注册公司 需多少钱