当前位置: 首页 > news >正文

购买腾讯备案网站怀化seo快速排名

购买腾讯备案网站,怀化seo快速排名,有哪些炫酷的官方网站,暗色系网站实现按键消抖功能#xff1a; 1.滤除按键按下时的噪声和松开时的噪声信号。 2.获取已消抖的按键按下的标志信号。 3.实现已消抖的按键的连续功能。 Verilog实现 模块端口 key_filter(input wire clk ,input wire rst_n ,input wire key_in , //按下按键时为0output … 实现按键消抖功能 1.滤除按键按下时的噪声和松开时的噪声信号。 2.获取已消抖的按键按下的标志信号。 3.实现已消抖的按键的连续功能。 Verilog实现 模块端口 key_filter(input wire clk ,input wire rst_n ,input wire key_in , //按下按键时为0output reg key_flag, //第一次按下的标志信号(已消抖)output reg key_out , //输出按键信号(已消抖)output reg key_cont //输出连续按键信号(已消抖)-计时一段时间拉高1次 ); 20ms计数 always(posedge clk or negedge rst_n)if(!rst_n) cnt_20ms 20d0;else if(key_in) //松下按键cnt_20ms 20d0;else if(cnt_20ms CNT_20MS_MAX) //达到消抖时间cnt_20ms CNT_20MS_MAX;elsecnt_20ms cnt_20ms20d1; 按键第一次按下的标志信号 always(posedge clk or negedge rst_n)if(!rst_n) key_flag1b0;else if(cnt_20ms CNT_20MS_MAX-20d1) //已消抖拉高key_flag一个周期key_flag 1b1;else key_flag1b0; 已消抖的按键信号 always(posedge clk or negedge rst_n)if(!rst_n) key_out1b0;else if(key_in) //松下按键key_out 1b0;else if(key_flag) //已消抖key_out 1b1;else ; 连续信号所需计数器 always(posedge clk or negedge rst_n)if(!rst_n) cnt_cont 20d0;else if(key_out) begin //已消抖if(cnt_cont CNT_CONT_MAX)cnt_cont 20d0;else cnt_cont cnt_cont20d1;endelsecnt_cont 20d0; 连续按键信号(已消抖)-计时一段时间拉高1次 always(posedge clk or negedge rst_n)if(!rst_n) key_cont1b0;else if(key_flag)key_cont 1b1;else if(key_out) begin //已消抖if(cnt_cont CNT_CONT_MAX) //连续按下一定时间拉高key_cont一个周期key_cont 1b1;else key_cont 1b0;endelsekey_cont 1b0; testbench: timescale 1ns/1ns module tb_key_filter();reg clk ; reg rst_n ; reg key_in ; reg [7:0] tb_cnt ;wire key_flag; wire key_out ; wire key_cont;defparam u_key_filter.CNT_20MS_MAX 20d9; defparam u_key_filter.CNT_CONT_MAX 24d49;initial begin clk 1b1 ;rst_n 1b0;#20rst_n 1b1;#(20*199100)$stop; endalways #10 clk~clk;always(posedge clk or negedge rst_n)if(!rst_n) tb_cnt 8b0;else if(tb_cnt 8d199)tb_cnt 8b0;elsetb_cnt tb_cnt 8b1;always(posedge clk or negedge rst_n)if(!rst_n) key_in 1b1 ; else if(((tb_cnt8d9) (tb_cnt8d39))||((tb_cnt8d159) (tb_cnt8d179)))key_in{$random}%2;else if((tb_cnt8d9)||(tb_cnt8d179))key_in1b1;elsekey_in1b0;key_filter u_key_filter(.clk (clk ),.rst_n (rst_n ),.key_in (key_in ), .key_flag (key_flag ), //第一次按下的标志信号(已消抖).key_out (key_out ), //输出按键信号(已消抖).key_cont (key_cont ) //输出连续按键信号(已消抖)-计时一段时间拉高1次 );endmodule仿真波形
http://www.pierceye.com/news/23379/

相关文章:

  • 做网站的公司还市场吗有网址的公司
  • 嘉兴论坛网站建设网站建设需要投资多少
  • wordpress主题无法安装目录wordpress 4.9 优化
  • 网站后台修改不了全网通官方网站
  • 电子商务网站建设方案目录如果创建网站
  • 网站收录提交入口官网西安千秋网络科技有限公司
  • o2o系统网站建设网站建设实训课
  • 网站右侧 回到顶部中国移动积分商城
  • 好看的商城网站设计广州网站建设网站
  • 东莞建设局门户网站网站上微信的链接怎么做
  • 公司网站建设和百度推广流程图抄袭别人网站的前端代码合法吗
  • j建设银行信用卡网站怎么建好网站
  • 营口门户网站建设成都市住房和城乡建设局网站
  • 做枪版视频网站犯法吗做内贸哪个网站好
  • 网站建设注册什么公司设计公司注册资金多少合适
  • 网站模板源码美食网站制作代码
  • 网站服务器选择衡阳手机网站建设
  • 网站制作郑州网站制作西宁市建设网站多少钱
  • 佛山外贸网站公司需要网站 该怎么做
  • 厚街网站建设报价基于jsp的电商网站开发
  • 芜湖新芜湖网站建设下列关于seo优化说法不正确的是
  • 重庆网站建设定制广州万户网站公司
  • 门户网站静态页面网站上怎么在图片里面做链接
  • 怎么撤销网站备案wordpress首页导航添加图片尺寸
  • 承德网站建设怎么做wordpress分类信息发布系统
  • 邯郸做网站优化wordpress注册增加性别选项
  • 罗浮视窗网站建设建设网站文章哪里下载
  • 进网站后台显示空白网上推广赚钱项目
  • 企业注册网站域名iis网站出乱码
  • 可以做t恤的网站西安市城乡与住房建设厅网站